top of page
uofr_edited.jpg
university-rochester_216_edited_edited.jpg
IMG_3301_edited.jpg

Tony Geng

Assistant Professor

Department of ECE

Department of Computer Science

University of Rochester

tong.geng(at)rochester.edu

  • g
  • universityofrochesterlogo_1487157387000_17343118_ver1.0

HELLO, I'M

Tony Geng

universityofrochesterlogo_1487157387000_17343118_ver1.0.webp

Assistant Professor @ University of Rochester

About

About Tony

MY BACKGROUND

Dr. Tony Geng is a tenure-track assistant professor in the ECE and CS departments of the University of Rochester (UR) and the director of UR's IntelliArch Lab. Before joining Rochester, Tony worked in the Physical & Computational Sciences Directorate (PCSD) at Pacific Northwest National Laboratory (PNNL) operated by the Department of Energy of the US government for 2 years. He received his Ph.D. in Computer Engineering at Boston University in 2020. His research interests are at the intersection of computer architecture & systems, machine learning, graph intelligence, and high-performance computing. Tony's papers have appeared in many prestigious conferences and journals e.g. MICRO, HPCA, OSDI,  AAAI, CVPR, ICCV, DAC, NIPS, SC, TPDS, TC, and TIP.

To prospective students:

I am currently looking for two Ph.D. students to work on next-generation hardware architectures & systems for future AI, graph intelligence, and their applications. Please drop me an email with your CV and transcripts if you are interested.

RESEARCH INTERESTS

Computer Architecture: GPU, FPGA, CGRA, Accelerators for AI, Quantum Computer, Heterogeneity in System 

Machine Learning: Spatio-temporal Graph Neural Networks, Broadly-defined Graph Intelligence, DNNs

Applications: Fintech, Social Media, Recommendation System, Smart City, Public Health, Supply Chain
publicaton

Selected Publications

​   2023:

  • [NIPS 2023] J.Liang, Y.Cui, Q.Wang, T.Geng, W.Wang, D.Liu: "ClusterFomer: Clustering As A Universal Visual Learner", Thirty-seventh Conference on Neural Information Processing Systems.

  • [NIPS 2023] H.Peng, R.Ran, ..., T.Geng, X.Xu, W.Wen, C.Ding: "LinGCN: Structural Linearized Graph Convolutional Network for Homomorphically Encrypted Inference", Thirty-seventh Conference on Neural Information Processing Systems.

  • [MICRO 2023] U.Vengalam, Y.Liu, T.Geng, H.Wu, M.Huang: "Supporting Energy-Based Learning With an Ising Machine Substrate: A Case Study on RBM", the 56th IEEE/ACM International Symposium on Microarchitecture.

  • [ICCV 2023] H.Peng, S.Huang, ..., T.Geng, K.Mahmood, W.Wen, X.Xu, C.Ding: "AutoReP: Automatic ReLU Replacement for Fast Private Network Inference", 2023 International Conference on Computer Vision.

  • [TIP 2023] D.Liu, J.Liang, T.Geng, A.Loui, T.Zhou: "Tripartite Feature Enhanced Pyramid Network for Dense Prediction", IEEE Transactions on Image Processing (Impact Factor: 10.86).

  • [SC 2023] C.Wu, T.Geng, A.Guo, S.Bandara, P.Haghi, C.Liu, A.Li, M.Herbordt: "FASDA: An FPGA-Aided, Scalable and Distributed Accelerator for Range-Limited Molecular Dynamics", Proceedings of the International Conference for High Performance Computing, Networking, Storage, and Analysis.

  • [ICS 2023] A.Guo, Y.Hao, C.Wu, P.Haghi, Z.Pan, M.Si, D.Tao, A.Li, M.Herbordt, T.Geng: "Software-Hardware Co-design of Heterogeneous SmartNIC System for Recommendation Models Inference and Training", the 36th ACM International Conference on Supercomputing.

  • [ICS 2023] P.Haghi, W.Krska, C.Tan, T.Geng, ..., A.Li, A.Skjellum, M.Herbordt: "FLASH: FPGA-Accelerated Smart Switches with GCN Case Study", the 36th ACM International Conference on Supercomputing.

  • [OSDI 2023] Y.Wang, B.Feng, Z.Wang, T.Geng, A.Li, K.Barker, Y.Ding: "MGG: Accelerating Graph Neural Networks with Fine-grained intra-kernel Communication-Computation Pipelining on Multi-GPU Platforms", USENIX Symposium on Operating Systems Design and Implementation.

  • [DAC 2023] Z.Liu, Y.Yang, Z.Pan, A.Sharma, A.Hasan, C.Ding, A.Li, M.Huang, T.Geng: "Ising-CF: A Pathbreaking Collaborative Filtering Method Through Efficient Ising Machine Learning", The 59th Design Automation Conference.

  • [DAC 2023] Y.Luo*, C.Tan*, N.Agostini, A.Li, A.Tumeo, N.Dave, T.Geng: "ML-CGRA: An Integrated Compilation Framework to Enable Efficient Machine Learning Acceleration on CGRAs", The 59th Design Automation Conference.

  • [DAC 2023] H.Peng, ..., C.Wang, T.Geng, W.Wen, X.Xu, C.Ding: "PASNet: Polynomial Architecture Search Framework for Two-party Computation-based Secure Neural Network Deployment", The 59th Design Automation Conference.

  • [AAAI 2023] Z.Pan, A.Sharma, J.Hu, Z.liu, A.Li, H.Liu, M.Huang, T.Geng: "Ising-Traffic: An Ising-based Framework for Traffic Congestion Prediction with Uncertainty", Thirty-Seventh AAAI Conference on Artificial Intelligence.

  • [CVPR 2023] Y.Lu, Q.Wang, S.Ma, T.Geng, Y.Chen, H.Chen, D.Liu: "TransFlow: Transformer as Flow Learner", Conference on Computer Vision and Pattern Recognition 2023.

​   2022:

  • [TPDS 2022] W.Sun, A.Li, T.Geng, S.Stuijk, H.Corporaal: "Dissecting Tensor Cores via Microbenchmarks: Latency, Throughput and Numerical Behaviors", IEEE Transactions on Parallel and Distributed Systems.

  • [HPCA 2022] H.You*, T.Geng*, Y.Zhang, A.Li, Y.Lin: "GCoD: Graph Convolutional Network Acceleration via Dedicated Algorithm and Accelerator Co-Design", The 28th IEEE International Symposium on HighPerformance Computer Architecture.

  • [HPCA 2022] C.Tan, N.B.Agostini, T.Geng, C.Xie, J.Li, A.Li, K.Barker, A.Tumeo: "DRIPS: Dynamic Rebalancing of Pipelined Streaming Applications on CGRAs", The 28th IEEE International Symposium on High-Performance Computer Architecture.

  • [DAC 2022] H. Peng, ..., T.Geng, ..., C.Ding: "A Length Adaptive Algorithm-Hardware Co-design of Transformer on FPGA Through Sparse Attention and Dynamic Pipelining", The 58th Design Automation Conference.

  • [ICS 2022] C.Zhang, S.Jin, T.Geng, J.Tian, A.Li, D.Tao: "Accelerating Parallel I/O Via Hardware-Algorithm Co-Designed Adaptive Lossy Compression", the 36th ACM International Conference on Supercomputing.

  • [ICS 2022] C.Tan, T.Tembe, J.Zhang, B.Fang, T.Geng, G.Wei, D.Brooks, A.Tumeo, G.Gopalakrishnan A.Li: "ASAP - Automatic Synthesis of Area-Efficient and  Precision-Aware CGRA", the 36th ACM International Conference on Supercomputing.

   2021:

  • [MICRO 2021] T.Geng, C.Wu, ..., M.Herbordt, Y.Lin, A.Li: "I-GCN: A Graph Convolutional Network Accelerator with Runtime Locality Enhancement through Islandization", the 54th IEEE/ACM International Symposium on Microarchitecture.

  • [TPDS 2021] T.Geng, T.Wang, C.Wu, Y.Li, ..., A.Li, M.Herbordt: "O3BNN-R: An Out-Of-Order Architecture for HighPerformance and Regularized BNN inference", IEEE Transactions on Parallel and Distributed Systems.

  • [TPDS 2021] C.Tan, C.Xie, T.Geng, ..., K.Barker, A.Li: "ARENA: Asynchronous Reconfigurable Accelerator Ring to Enable Data-Centric Parallel Computing", IEEE Transactions on Parallel and Distributed Systems.

  • [SC 2021] B.Feng, Y.Wang, T.Geng, A.Li, Y.Ding: "APNN-TC: Accelerating Arbitrary Precision Neural Networks on Ampere GPU Tensor Cores", Proceedings of the International Conference for High Performance Computing, Networking, Storage, and Analysis.

  • [ICCAD 2021] Y.Zhang, H.You, Y.Fu, T.Geng, A.Li, Y.Lin: "G-CoS: GNN-Accelerator Co-Search Towards Both Better Accuracy and Efficiency", 2021 International Conference On Computer Aided Design.

  • [ICCD 2021] C.Tan, T.Geng, C.Xie, N.Agostini, J.Li, A.Li, K.Barker, A.Tumeo: "DynPaC: Coarse-Grained, Dynamic, and Partially Reconfigurable Array for Streaming Applications", the 39th IEEE International Conference on Computer Design. (Best Paper Award)

   2020:

  • [MICRO 2020] T.Geng, A.Li, T.Wang, C.Wu, Y.Li, ..., M.Herbordt: "AWB-GCN: A Hardware Accelerator of GraphConvolution-Network through Runtime Workload Rebalancing", the 53rd IEEE/ACM International Symposium on Microarchitecture.

  • [TC 2020] T.Geng*, T.Wang*, A.Li, X.Jin, M.Herbordt: "FPDeep: Scalable Acceleration of CNN Training on DeeplyPipelined FPGA Clusters", IEEE Transactions on Computers. 

  • [ICS 2020] T.Geng*, R.Shi*, P.Dong*, ..., M.Herbordt, A.Li, Y.Wang: "CSB-RNN: A Faster-than-Realtime RNN Acceleration Framework with Compressed Structured Blocks", the 34th ACM International Conference on Supercomputing.

   2019:

  • [ICS 2019] T.Geng, T.Wang, C.Wu, C.Yang, W.Wu, A.Li, M.Herbordt: "O3BNN: An Out-Of-Order Architecture for High-Performance Binarized Neural Network Inference with Fine-Grained Pruning", the 33th ACM International Conference on Supercomputing.

  • [SC 2019] A.Li, T.Geng, T.Wang, M.Herbordt, S.Song, K.Barker: "BSTC: A Novel BinarizedSoft-Tensor-Core Design for Accelerating Bit-Based Approximated Neural Nets", Proceedings of the International Conference for High Performance Computing, Networking, Storage, and Analysis.

  • [SC 2019] C.Yang, T.Geng, T.Wang, ..., M.Herbordt: "Fully integrated FPGA molecular dynamics simulations", Proceedings of the International Conference for High Performance Computing, Networking, Storage, and Analysis.

Projects

Projects

Graph-Neural-Networks.webp
ai-circuit-board-technology-system-scaled_edited.jpg

Graph

Intelligence

 View Selected Papers for More Details:

MICRO 20, MICRO 21, HPCA 21AAAI 23, DAC 23, OSDI 23, MICRO23, NIPS23

General Neural Networks

 View Selected Papers for More Details:

SC 19, ICS 19, TC 20, ICS 20, SC 21, TPDS 21,  DAC22, TIP 23, CVPR 23, TPDS 22, DAC 23, NIPS23

Computer

Architecture

 View Selected Papers for More Details:

 

SC19, MICRO 20, MICRO 21, 2x HPCA 21, ICCD 21, TPDS2021, 2x ICS 22, 3x DAC 23, 2x ICS 23, MICRO23 

Team

Meet The Team

chunshu_edited.jpg

Chunshu Wu 

Research Interests:

1. Dynamic System for ML;

2. Computer Architecture;

3. AI for Science;

pouya.215368d1.jpg

Pouya Haghi

Research Interests:

1. Heterogeneous System for AI

2. Efficient Diffusion Models 

3. Efficient Large Language Models

WeChat Image_20221216175330.jpg

Clein Song

Research Interests:

1. Computer Architecture

2. Physics-Enhanced Machine Learning System

3. Generative AI Codesign

WeChat Image_20230130115704.jpg

Chuan Liu

Research Interests:

1. Graph Neural Networks

2. Physics-Enhanced Graph Learning

Awards & Services
News

News

10/2023 Prof. Tony Geng received Research Fund from META Reality Lab on Next-generation Graphics Architecture.

09/2023 Two papers accepted by NIPS 2023.

08/2023 Prof. Tony Geng received Research Fund from NSF CORE program on GNN Acceleration based on Digital Hardware.

07/2023 Prof. Tony Geng received Research Fund from Center of Excellence in Data Science on Physicis-enhanced Machine Learning.

07/2023 One paper accepted by MICRO 2023.

07/2023 One paper accepted by ICCAD 2023.

07/2023 One paper accepted by ICCV 2023.

07/2023 One paper accepted by JPCC (Journal of Physical Chemistry C) 2023.

06/2023 One paper accepted by SC 2023.

06/2023 Prof. Tony Geng received Donations from AMD and Xilinx, thanks!

04/2023 One paper accepted by IEEE Transactions on Image Processing (TIP) 2023 - Impact Factor: 10.86.

04/2023 Two papers accepted by ICS 2023 -- SmartNIC and SmartSwitch can significantly improve DLRM and GNN training efficiency.

03/2023 One paper accepted by OSDI 2023.

03/2023 Prof. Tony Geng received Research Fund from PNNL/DOE on Efficient Data Format for Large Language Model.

02/2023 One paper accepted by CVPR 2023 (as a Highlighted Paper).

02/2023 Three papers accepted by DAC 2023.

11/2022 One paper accepted by AAAI 2023.

10/2022 One paper accepted by TPDS 2022.

09/2022 Prof. Tony Geng received Faculty Research Award from META (Facebook) on AI System Hardware/Software Codesign.

09/2022 Our proposal was selected as an internationally excellent finalist in Meta (Facebook) RFP - Networking for AI.

09/2022 Four papers were accepted by ICCD 2022.06/2022 Three papers were accepted by FPL 2022.

04/2022 Two papers were accepted by ICS 2022.

02/2022 One paper was accepted by DAC 2022.

12/2021 Two papers were accepted by HPCA 2022.

Sponsors

download.jpg
download (2).png
download (3).png
meta-logo.png
download (1).png
download (4).png
bottom of page